Skip to main content

[Clock Solution] Формирователи тактовых сигналов

В этой заметке буду  собирать информацию о решениях для формирования и менеджмента тактовых сигналов (преимущественно для ПЛИС), добытые из схемотехнических решений (открытых и не очень) разнообразных отладок на FPGA.

ФАПЧи, «менеджеры» и разветвители

  •  SI5342/SI5344/SI5345 — $20/$20/$30, ФАПЧ с ультранизким джиттером, 2/4/10 диф.выходами и четырьмя входами, управляемая по I2C, диапазон выходных частот: от 100 Гц до 1028 МГц
  • SI5338A$15, ФАПЧ с низким джиттером, четырьмя диф.выходами и двумя входами, управляемая по I2C, диапазон выходных частот: 0.16..710 МГц
  • SI5351B$2.5, ФАПЧ и независимый ГУН (управляемый с наружной ножки),  восемь выходов, управление по I2C, диапазон выходных частот: 2,5 кГц..200 МГц
  • SI5351A$1, две ФАПЧ, от 3х до 8ми выходов, управление по I2C, диапазон выходных частот: 2,5 кГц..200 МГц

 

 Источники тактового сигнала

  • SiT8208A — $1.5, MEMS-генератор с перестраиваемой выходной частотой в пределах 1..80 МГц и стабильностью до ±20ppm, доступные размеры: от 2*2.5мм до 5*7мм

Читать далее

[opensource]: Мои скрипты для EDA/CAE/CAD

Приведенное ниже — описание к коллекции моих EDA-скриптов для работы с тулами для ASIC и FPGA

Конвертор из UCF в XDC

Конвертирует для ПЛИС Xilinx привязки ножек и стандартов из формата ucf (Xilinx ISE) в новый формат xdc (Xilinx Vivado). Использование:

В текущей директории появится файл FILENAME.xdc.

Пример входного ucf-файла

Пример сгенеренного утилитой xdc-файла

(далее…)

Читать далее