Приведенное ниже — описание к коллекции моих EDA-скриптов для работы с тулами для ASIC и FPGA
Конвертор из UCF в XDC
Конвертирует для ПЛИС Xilinx привязки ножек и стандартов из формата ucf (Xilinx ISE) в новый формат xdc (Xilinx Vivado). Использование:
1 | ./ucf-to-xdc.sh FILENAME.ucf |
В текущей директории появится файл FILENAME.xdc
.
Пример входного ucf-файла
1 2 | NET "DDR_DQ[0]" LOC = "AY12" | IOSTANDARD = SSTL15_T_DCI | VCCAUX_IO = NORMAL | SLEW = FAST; NET "DDR_DQ[1]" LOC = "AW12" | IOSTANDARD = SSTL15_T_DCI | VCCAUX_IO = NORMAL | SLEW = FAST; |
Пример сгенеренного утилитой xdc-файла
1 2 3 4 5 6 7 8 9 | set_property PACKAGE_PIN AY12 [get_ports DDR_DQ[0]] set_property IOSTANDARD SSTL15_T_DCI [get_ports DDR_DQ[0]] set_property VCCAUX_IO NORMAL [get_ports DDR_DQ[0]] set_property SLEW FAST [get_ports DDR_DQ[0]] set_property PACKAGE_PIN AW12 [get_ports DDR_DQ[1]] set_property IOSTANDARD SSTL15_T_DCI [get_ports DDR_DQ[1]] set_property VCCAUX_IO NORMAL [get_ports DDR_DQ[1]] set_property SLEW FAST [get_ports DDR_DQ[1]] |